CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - piano vhdl

搜索资源列表

  1. dianziqing.rar

    0下载:
  2. vhdl代码: 电子琴程序设计与仿真!初学fpga者可以参考参考!!比较简单,VHDL code: program design and simulation of electric piano! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:49588
    • 提供者:daxiadian2
  1. piano

    0下载:
  2. 电子琴,quartus开发环境,硬件连接模型,蜂鸣器-piano
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1560055
    • 提供者:汪雷明
  1. zhushaoyong

    0下载:
  2. 设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源-Design and production of a 14-key electric piano tone into a number of music scores advance in 4Hz clock circuit under the control of automatic play through 220V power adapter to provide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:490862
    • 提供者:邱颖
  1. edapiano.doc.tar

    0下载:
  2. EDA 电子琴 EDA 电子琴 EDA 电子琴 -EDA piano
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-17
    • 文件大小:74752
    • 提供者:tea
  1. speaker

    0下载:
  2. verilog写的电子钢琴,内容简单,但频率与数字间关系的原理详尽,实验通过,供新手学习讨论-write verilog electronic piano, the contents of simple, but the relationship between frequency and number of the principle of detailed experimental passed, new learning
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:3699
    • 提供者:山哥
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. dianziqn

    0下载:
  2. 电子琴的代码,可以自己即兴演奏的电子琴,基于vhdl语言实现,音色很不错啊-e-piano based on quartusII and designed by vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2448331
    • 提供者:lxb
  1. proiect

    0下载:
  2. Make a piano out of a keyboard using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:392144
    • 提供者:paula
  1. PIANo

    0下载:
  2. (1)下载“KX232_PIANO_C5T”文件夹中的sof文件。 (2) 接上串行通信线,与PC机通信。 (3)在“FOR_PC_FILE”文件夹中,双击打开上位机软件“SEND”,按键盘上的“1、2、3.。。”即可弹琴。SEND窗口即显示对应的ASIC码。-(1) Download " KX232_PIANO_C5T" folder in the sof file. (2) connected to the serial communication lines, a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:49085
    • 提供者:袁方
  1. 15-piano

    0下载:
  2. 这是一个成功的电子琴VHDL源代码,已在DH-33001开发板上调试成功。-This is a successful organ VHDL source code, in the DH-33001 development board debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:77728
    • 提供者:hjs
  1. 3.6

    0下载:
  2. 钢琴键,可在显示器显示。并可发出声音。vhdl编写-Piano keys, can be displayed on the display. May sound. vhdl prepared
  3. 所属分类:Other systems

    • 发布日期:2017-12-09
    • 文件大小:10048
    • 提供者:祝小菜
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. Piano

    0下载:
  2. 用VHDL写的,实现触摸屏电子琴的功能,可录音、放音,适用于赛灵思的板子。-Written using VHDL realize the function of touch-screen keyboard, recordable, playback for Xilinx board.
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1062174
    • 提供者:Ding
  1. shiyan

    0下载:
  2. 北邮 数电实验 简易钢琴游戏 基于硬件VHDL编程环境-BUPT several electrical experiments Easy Piano game
  3. 所属分类:File Operate

    • 发布日期:2017-04-09
    • 文件大小:1373295
    • 提供者:
  1. Electronic-piano

    0下载:
  2. 利用VHDL设计电子琴,能够进行7个音符的发声-Design with VHDL Keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1031425
    • 提供者:lhc
  1. eda-Electronic-organ

    0下载:
  2. 本设计的是简易电子琴的实现。采用EDA作为开发工具,VHDL语言为硬件描述语言,quartus II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别。     利用数控分频器设计一个电子琴硬件电路,通过键盘输入使扬声器发出不同频率的声音。-This design is the realization of simple electronic piano. Using EDA a
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-09
    • 文件大小:1782229
    • 提供者:蔡颖
  1. piano

    0下载:
  2. 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, usin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1101824
    • 提供者:qengleikangjen
  1. SSS_MP_Utility_v2173

    0下载:
  2. Piano utility for sss vhdl
  3. 所属分类:matlab例程

    • 发布日期:2018-01-07
    • 文件大小:1822720
    • 提供者:ghwiita
搜珍网 www.dssz.com